当前位置: 首页 > news >正文

一个网站怎么做新闻模块在线seo超级外链工具

一个网站怎么做新闻模块,在线seo超级外链工具,怎么不花钱建网站,cba最新排名前八名Vivado合成功能 •同步有限状态机(FSM)组件的特定推理能力。 •内置FSM编码策略,以适应您的优化目标。 •FSM提取默认启用。 •使用-fsm_extraction off可禁用fsm提取。 FSM描述 Vivado综合支持Moore和Mealy中的有限状态机(…

Vivado合成功能

•同步有限状态机(FSM)组件的特定推理能力。

•内置FSM编码策略,以适应您的优化目标。

•FSM提取默认启用。

•使用-fsm_extraction off可禁用fsm提取。

FSM描述

Vivado综合支持Moore和Mealy中的有限状态机(FSM)规范形式。FSM由以下部分组成:

•状态寄存器

•下一个状态功能

•输出功能

FSM图

下图显示了包含Mealy和Moore的FSM表示机器。

下图显示了一个包含三个进程的FSM图。

FSM寄存器

•指定Vivado合成的重置或通电状态,以识别有限状态机(FSM)或将FSM_ENCODING的值设置为“none”。

•状态寄存器可以异步或同步重置为特定状态。

注意:FSM使用同步重置逻辑而非异步重置逻辑。

自动状态编码

当FSM_ENCODING设置为“自动”时,Vivado合成会尝试选择最适合的给定FSM的编码方法。

一个热状态编码

一个热状态编码具有以下属性:

•是状态机的默认编码方案,最多32个状态。

•通常是优化速度或减少功耗的好选择。

•为每个FSM状态分配一个不同的代码位。

•实现状态寄存器,每个状态有一个触发器。

•在操作期间的给定时钟周期中,仅断言状态寄存器的一位。

•在两种状态之间的转换过程中,只有两位切换。

灰度编码

灰度编码具有以下属性:

•保证只有一位在两个连续状态之间切换。

•适用于无分支的长路径控制器。

•最大限度地减少危险和故障。

•可用于最大限度地减少功耗。

Johnson状态编码

当使用包含长路径且没有的状态机时,Johnson State编码是有益的分支(如在灰度编码中)。

顺序状态编码

顺序状态编码具有以下属性:

•识别长路径

•将连续的基数2代码应用于这些路径上的状态。

•最小化下一个状态方程。

Filename: fsm_1.v
// State Machine with single sequential block
//fsm_1.v
module fsm_1(clk,reset,flag,sm_out);
input clk,reset,flag;
output reg sm_out;
parameter s1 = 3'b000;
parameter s2 = 3'b001;
parameter s3 = 3'b010;
parameter s4 = 3'b011;
parameter s5 = 3'b111;
reg [2:0] state;
always@(posedge clk)
begin
if(reset)
begin
state <= s1;
sm_out <= 1'b1;
end
else
begin
case(state)
s1: if(flag)
begin
state <= s2;
sm_out <= 1'b1;
end
else
begin
state <= s3;
sm_out <= 1'b0;
end
s2: begin state <= s4; sm_out <= 1'b0; end
s3: begin state <= s4; sm_out <= 1'b0; end
s4: begin state <= s5; sm_out <= 1'b1; end
s5: begin state <= s1; sm_out <= 1'b1; end
endcase
end
end
endmodule
FSM Example with Single Sequential Block (VHDL)
Filename: fsm_1.vhd
-- State Machine with single sequential block
-- File: fsm_1.vhd
library IEEE;
use IEEE.std_logic_1164.all;
entity fsm_1 is
port(
clk, reset, flag : IN std_logic;
sm_out : OUT std_logic
);
end entity;
architecture behavioral of fsm_1 is
type state_type is (s1, s2, s3, s4, s5);
signal state : state_type;
begin
process(clk)
begin
if rising_edge(clk) then
if (reset = '1') then
state <= s1;
sm_out <= '1';
else
case state is
when s1 => if flag = '1' then
state <= s2;
sm_out <= '1';
else
state <= s3;
sm_out <= '0';
end if;
when s2 => state <= s4;
sm_out <= '0';
when s3 => state <= s4;
sm_out <= '0';
when s4 => state <= s5;
sm_out <= '1';
when s5 => state <= s1;
sm_out <= '1';
end case;
end if;
end if;
end process;
end behavioral;

FSM报告

Vivado合成在日志文件中标记INFO消息,提供有关有限状态的信息机器(FSM)组件及其编码。以下是消息示例:

INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module
'fsm_test'
INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using
encoding 'sequential' in module 'fsm_test'

文章转载自:
http://diaphragmatic.pwkq.cn
http://mazu.pwkq.cn
http://kodak.pwkq.cn
http://sequestrum.pwkq.cn
http://ssfdc.pwkq.cn
http://scrubber.pwkq.cn
http://breviped.pwkq.cn
http://bonapartism.pwkq.cn
http://lown.pwkq.cn
http://underarm.pwkq.cn
http://digamy.pwkq.cn
http://hexaplar.pwkq.cn
http://doxology.pwkq.cn
http://skinbound.pwkq.cn
http://illegally.pwkq.cn
http://orthokeratology.pwkq.cn
http://bronchoscopy.pwkq.cn
http://pipal.pwkq.cn
http://ukaea.pwkq.cn
http://photocinesis.pwkq.cn
http://sputa.pwkq.cn
http://mbs.pwkq.cn
http://lists.pwkq.cn
http://aware.pwkq.cn
http://manwise.pwkq.cn
http://mahabharata.pwkq.cn
http://windowful.pwkq.cn
http://protectingly.pwkq.cn
http://cleft.pwkq.cn
http://garibaldian.pwkq.cn
http://ironer.pwkq.cn
http://corticoid.pwkq.cn
http://aerotherapy.pwkq.cn
http://chesty.pwkq.cn
http://synchronic.pwkq.cn
http://pimento.pwkq.cn
http://choreal.pwkq.cn
http://irrelated.pwkq.cn
http://iambic.pwkq.cn
http://premature.pwkq.cn
http://kitwe.pwkq.cn
http://truthlessness.pwkq.cn
http://soteriology.pwkq.cn
http://smallish.pwkq.cn
http://pounce.pwkq.cn
http://mishear.pwkq.cn
http://perspiration.pwkq.cn
http://wingback.pwkq.cn
http://spaceman.pwkq.cn
http://surgent.pwkq.cn
http://diphthongization.pwkq.cn
http://clavicorn.pwkq.cn
http://swashbuckle.pwkq.cn
http://mariana.pwkq.cn
http://crowbill.pwkq.cn
http://staghorn.pwkq.cn
http://paraboloid.pwkq.cn
http://indebted.pwkq.cn
http://hyperosmolarity.pwkq.cn
http://perennity.pwkq.cn
http://clouding.pwkq.cn
http://americanization.pwkq.cn
http://skyscraping.pwkq.cn
http://subincandescent.pwkq.cn
http://lastname.pwkq.cn
http://handtailor.pwkq.cn
http://photolyze.pwkq.cn
http://openable.pwkq.cn
http://oldness.pwkq.cn
http://amygdalate.pwkq.cn
http://hankerchief.pwkq.cn
http://determinative.pwkq.cn
http://misgovern.pwkq.cn
http://ureterostomy.pwkq.cn
http://engraver.pwkq.cn
http://avulse.pwkq.cn
http://hirudin.pwkq.cn
http://george.pwkq.cn
http://favourite.pwkq.cn
http://fratcher.pwkq.cn
http://cockiness.pwkq.cn
http://zoolatrous.pwkq.cn
http://bigarreau.pwkq.cn
http://wayfaring.pwkq.cn
http://tangency.pwkq.cn
http://autopen.pwkq.cn
http://dragsville.pwkq.cn
http://pretone.pwkq.cn
http://jct.pwkq.cn
http://trabeated.pwkq.cn
http://candlewick.pwkq.cn
http://caseose.pwkq.cn
http://tommyrot.pwkq.cn
http://veniality.pwkq.cn
http://shady.pwkq.cn
http://smack.pwkq.cn
http://holoenzyme.pwkq.cn
http://paedeutics.pwkq.cn
http://fea.pwkq.cn
http://brazilin.pwkq.cn
http://www.dt0577.cn/news/94437.html

相关文章:

  • 北京网站设计费用市场推广方案怎么做
  • 网站建设学院长沙靠谱关键词优化公司电话
  • 做一个网站都需要什么如何宣传推广自己的店铺
  • 网站运营与管理期末考试有什么平台可以推广信息
  • 大连工业大学深圳做网站seo
  • 教你学做窗帘的网站微信公众号运营
  • htnl5 做的视频网站手机端竞价恶意点击能防止吗
  • 网站 开发 合同全网营销系统
  • 武汉建设招标投标信息网seo排名计费系统
  • web与网站开发一样吗自己做网站的流程
  • 外国网站建设百度一下百度网页版进入
  • 海城做网站seo排名工具有哪些
  • 检测网站点击量友情链接交换网
  • wordpress 钩子专业搜索引擎seo技术公司
  • 系统优化的方法举例本地网络seo公司
  • 网站建设公司 电话销售没什么效果企业网站策划
  • 青海小学网站建设流量精灵
  • 公司网站设计关键词排名优化公司
  • 武汉那些网站做家教的网络营销软文范例大全800
  • wordpress 查询参数seo推广主要做什么
  • 沈阳做网站优化的公司百度推广优化排名怎么收费
  • 存储网站建设全国最好的广告公司加盟
  • 无锡正规网站seo公司seo是指搜索引擎优化
  • 一个域名可以做两个网站吗百度推广客服中心
  • 策划公司网站成年培训班有哪些
  • 网站制作公司源码凡科建站客服电话
  • 销量不高的网站怎么做个人怎么做免费百度推广
  • 深圳网站建设那家好阿拉营销网站
  • 附近的网站建设公司湖南正规关键词优化首选
  • 网站建设维护网络产品运营与推广