当前位置: 首页 > news >正文

自建网站推广软文写作兼职

自建网站推广,软文写作兼职,wordpress 用户身份判断,做视频网站用什么好处北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.代码部分 1.1 reg_74LS374.v 1.2 reg_LS3…

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

 

目录

一.代码部分

1.1 reg_74LS374.v

 1.2 reg_LS374_tb.v

二.仿真测试效果


一.代码部分

1.1 reg_74LS374.v

module reg_74LS374
(input [7:0] D_in,input clk,output reg [7:0] D_out
);
always @ (posedge clk)begin D_out <=D_in; end
endmodule

 1.2 reg_LS374_tb.v

`timescale 1ns/1ps
module reg_74LS374_tb();reg clk;reg [7:0] D_in;wire [7:0] D_out;initial begin repeat(20) begin clk=1'b0;#200;clk=1'b1;#200;end$stop;endinitial begin repeat(2) begin D_in=8'b0000_0001;#250;D_in=8'b0000_0010;#250;D_in=8'b0000_0100;#250;D_in=8'b0000_1000;#250;D_in=8'b0001_0000;#250;D_in=8'b0010_0000;#250;D_in=8'b0100_0000;#250;D_in=8'b10000_0000;#250;end$stop;endreg_74LS374 reg_1(.clk(clk),.D_in(D_in),.D_out(D_out));	endmodule		

二.仿真测试效果

http://www.dt0577.cn/news/9939.html

相关文章:

  • 做国内网站阿里云怎么样恩施seo整站优化哪家好
  • 网站建设代理网站网站平台如何推广
  • 母婴网站建设日结app推广联盟
  • 新疆工程建设交易信息网站中央刚刚宣布大消息
  • 哪个网站的图片专门做详情页的今日国内新闻大事20条
  • php做网站 价格网址安全中心检测
  • 卢龙建设银行官网网站企业营销策划案例
  • 蓝色旅游资讯网站模板网络营销的步骤
  • 网站访客跟踪seo快速上排名
  • 济南网站建设是什么意思可以推广的软件
  • 做电商网站要服务器吗高端网站建设制作
  • 网站开发深圳公司网站免费发布与推广
  • 毕业设计做网站老师会问什么seo推广营销靠谱
  • 亚马逊是b2c还是b2b淄博搜索引擎优化
  • 网站注册免费搜索引擎seo
  • 做网站之前的工作搜索引擎优化的分类
  • 查询网站怎么做手机百度推广怎么打广告
  • 如何做网站优化武汉网站seo推广公司
  • 1v1网站建设电商运营是做什么的
  • wordpress评论样式百度关键词优化公司哪家好
  • 网站源码传到服务器上后怎么做优化百度seo技术搜索引擎
  • 网站建设liluokj企业建站免费模板
  • 网站建设优化托管今日重大新闻事件
  • 什么网站比谷歌还好电商网站设计方案
  • 做推广网站的去哪能买到有效资料北京seo公司有哪些
  • 服务外包网站南京市网站seo整站优化
  • 网络工程师中级职称报考条件品牌seo推广咨询
  • 洮南做网站西安网络推广运营公司
  • 南京网站建设希丁哥免费个人网站服务器
  • 杨幂做的网站广告开发一个平台需要多少钱