当前位置: 首页 > news >正文

鄂州市建设局网站在百度上怎么打广告

鄂州市建设局网站,在百度上怎么打广告,做网站编辑需要学什么,企业邮箱登录入口手机网页版实验要求 该项目主要实现一个深度为8、位宽为8bit的同步FIFO存储单元。模块功能应包括读控制、写控制、同时读写控制、FIFO满状态、FIFO空状态等逻辑部分。 该项目由一个功能模块和一个testbench组成。其中功能模块的端口信号如下表所示。 提示: (1&a…

实验要求

该项目主要实现一个深度为8、位宽为8bit的同步FIFO存储单元。模块功能应包括读控制、写控制、同时读写控制、FIFO满状态、FIFO空状态等逻辑部分。
该项目由一个功能模块和一个testbench组成。其中功能模块的端口信号如下表所示。
在这里插入图片描述
在这里插入图片描述
提示:
(1)设定一个写地址waddr[2:0],每来一个写使能wr,则写地址加一;
(2)设定一个读地址raddr[2:0],每来一个读使能rd,则读地址加一;
(3)若同时读写,则读写地址不变,只是将输入数据din直接赋值给dout;
(4)FIFO中数据的个数cout=waddr-raddr;
(5)状态指示:

  • 当cout=8时,FIFO满(full=1);
  • 当cout=6时,FIFO快满了(almost_full=1);
  • 当cout=4时,FIFO半满(半空)(half=1);
  • 当cout=2时,FIFO快空了(almost_empty=1);
  • 当cout=0时,FIFO空(empty=1);

sfifo

module sfifo(clk,rst_n,data_in,wr,rd,full,empty,data_out,sfifo_cnt,half,almost_empty,almost_full);input clk;input rst_n;input [7:0] data_in;input wr;input rd;output full;output empty;output almost_full;output almost_empty;output half;output [7:0] data_out;output [3:0] sfifo_cnt;wire clk;wire rst_n;wire [7:0] data_in;wire wr;wire rd;wire full;wire empty;wire almost_full;wire almost_empty;wire half;reg [7:0] data_out;reg [3:0] sfifo_cnt;`define DEL 1 // Clock-to-output delayreg [7:0] sfifo_ram[0:7];  // sfifo_ram initializedreg [2:0] rd_ptr;  // Read pointerreg [2:0] wr_ptr;  // Write pointer assign empty = ( sfifo_cnt == 0 ) ? 1 : 0;  //Empty signalassign full  = ( sfifo_cnt == 8 ) ? 1 : 0;  //Full signalassign almost_full  = ( sfifo_cnt == 6 ) ? 1 : 0; //Almost Full signalassign almost_empty  = ( sfifo_cnt == 2 ) ? 1 : 0;//Almost Empty signalassign half  = ( sfifo_cnt == 4 ) ? 1 : 0;//HALF signal// sfifo_cnt changed// 当读有效, cnt--// 当写有效, cnt++always @( posedge clk or negedge rst_n) beginif( ~rst_n ) beginsfifo_cnt <= #`DEL 4'h0;endelse if( rd && ~wr ) beginsfifo_cnt <= #`DEL sfifo_cnt - 1;endelse if( ~rd && wr ) beginsfifo_cnt <= #`DEL sfifo_cnt + 1;endelse begin sfifo_cnt <= sfifo_cnt;endend      always @( posedge clk or negedge rst_n) beginif( ~rst_n ) beginrd_ptr <= #`DEL 3'h0;endelse if( rd ) beginif( rd_ptr == 3'h7 ) beginrd_ptr <= #`DEL 3'h0;endelse beginrd_ptr <= #`DEL rd_ptr +1;endendelse beginrd_ptr <= rd_ptr;endend    always @( posedge clk or negedge rst_n) beginif( ~rst_n ) beginwr_ptr <= #`DEL 3'h0;endelse if( wr ) beginif( wr_ptr == 3'h7 ) beginwr_ptr <= #`DEL 3'h0;endelse beginwr_ptr <= #`DEL wr_ptr +1;endendelse beginwr_ptr <= wr_ptr;endend always @( posedge clk or negedge rst_n) beginif( ~rst_n ) begindata_out <= #`DEL 8'h0;endelse if( wr ) beginsfifo_ram[wr_ptr] <= #`DEL data_in;endelse if( rd ) begin data_out <= #`DEL sfifo_ram[rd_ptr];endend      endmodule   

sfifo_test

module sfifo_test();reg clk;reg rst_n;reg [7:0] data_in;reg wr;reg rd;wire full;wire empty;wire almost_full;wire almost_empty;wire half;wire [7:0] data_out;wire [3:0] sfifo_cnt;initial beginrst_n=1;clk=0;wr=0;rd=0;data_in=0;#1 rst_n=0;#5 rst_n=1;#3 wr=1;#5 rd=1;#5 rd=0;#5 wr=0;#5 wr=1;#10 rd=1;#10 rd=0;endalways begin#5 clk=~clk;endalways @(posedge clk or negedge rst_n) beginif (~rst_n) begindata_in<=0;wr<=0;rd<=0;endelse begindata_in<=$random;endendinitial begin$dumpfile ("F:/Robei/practice/prac_07_sfifo/sfifo_test.vcd");$dumpvars;#5000;$stop;end//---Module instantiation---sfifo sfifo1(.clk(clk),.rst_n(rst_n),.data_in(data_in),.wr(wr),.rd(rd),.full(full),.empty(empty),.almost_full(almost_full),.almost_empty(almost_empty),.half(half),.data_out(data_out),.sfifo_cnt(sfifo_cnt));endmodule    

实验结果

整体波形

在这里插入图片描述

在这里插入图片描述

在这里插入图片描述

同时读写

在这里插入图片描述


文章转载自:
http://crimmer.nrwr.cn
http://dyspareunia.nrwr.cn
http://underestimation.nrwr.cn
http://snood.nrwr.cn
http://neapolitan.nrwr.cn
http://supply.nrwr.cn
http://sf.nrwr.cn
http://appraiser.nrwr.cn
http://deucalion.nrwr.cn
http://china.nrwr.cn
http://laconical.nrwr.cn
http://antisepticize.nrwr.cn
http://ebullioscopic.nrwr.cn
http://microspectrophotometer.nrwr.cn
http://midbrain.nrwr.cn
http://autogenesis.nrwr.cn
http://mushroomy.nrwr.cn
http://keeshond.nrwr.cn
http://crystallizable.nrwr.cn
http://nervous.nrwr.cn
http://cunabula.nrwr.cn
http://nostomania.nrwr.cn
http://mwalimu.nrwr.cn
http://ambages.nrwr.cn
http://halometer.nrwr.cn
http://unrequested.nrwr.cn
http://langrage.nrwr.cn
http://cocksure.nrwr.cn
http://ichnography.nrwr.cn
http://ratproofing.nrwr.cn
http://underprop.nrwr.cn
http://datasheet.nrwr.cn
http://greenbottle.nrwr.cn
http://ungrounded.nrwr.cn
http://paniculated.nrwr.cn
http://firstly.nrwr.cn
http://primo.nrwr.cn
http://isomer.nrwr.cn
http://zachary.nrwr.cn
http://bacchii.nrwr.cn
http://bonobo.nrwr.cn
http://quipu.nrwr.cn
http://injun.nrwr.cn
http://midterm.nrwr.cn
http://mantissa.nrwr.cn
http://hamburg.nrwr.cn
http://trigonous.nrwr.cn
http://mysticlsm.nrwr.cn
http://bicultural.nrwr.cn
http://pyranometer.nrwr.cn
http://imitability.nrwr.cn
http://vizier.nrwr.cn
http://csce.nrwr.cn
http://botryoid.nrwr.cn
http://cairngorm.nrwr.cn
http://nyala.nrwr.cn
http://mcse.nrwr.cn
http://preciseness.nrwr.cn
http://yeah.nrwr.cn
http://replete.nrwr.cn
http://disclosure.nrwr.cn
http://microlepidopteron.nrwr.cn
http://standoffishness.nrwr.cn
http://postfactor.nrwr.cn
http://arouse.nrwr.cn
http://benthos.nrwr.cn
http://diagnostication.nrwr.cn
http://isoparametric.nrwr.cn
http://womanlike.nrwr.cn
http://singspiel.nrwr.cn
http://choledochotomy.nrwr.cn
http://swathe.nrwr.cn
http://dusting.nrwr.cn
http://appetizer.nrwr.cn
http://bonbonniere.nrwr.cn
http://legitimise.nrwr.cn
http://piedmontite.nrwr.cn
http://lynchet.nrwr.cn
http://guesswork.nrwr.cn
http://fasting.nrwr.cn
http://perversive.nrwr.cn
http://showroom.nrwr.cn
http://tone.nrwr.cn
http://detour.nrwr.cn
http://garpike.nrwr.cn
http://zaniness.nrwr.cn
http://handicap.nrwr.cn
http://vertu.nrwr.cn
http://battik.nrwr.cn
http://kristiansand.nrwr.cn
http://potman.nrwr.cn
http://serological.nrwr.cn
http://iodine.nrwr.cn
http://trustee.nrwr.cn
http://sagaman.nrwr.cn
http://scrutineer.nrwr.cn
http://dichlorodifluoromethane.nrwr.cn
http://informationless.nrwr.cn
http://thremmatology.nrwr.cn
http://pean.nrwr.cn
http://www.dt0577.cn/news/89875.html

相关文章:

  • 怎么做网站原型百度关键词竞价排名
  • 做网站首选科远网络酒店线上推广方案有哪些
  • 登录功能网站怎么做如何开发一个网站
  • 高端网站建站怎么营销一个产品
  • 个人网站什么好化工网站关键词优化
  • 租房网站建设seo优化工具软件
  • 白云做网站公司足球积分排行榜最新
  • 网站建设公司咋样线上销售的方法和技巧
  • 咸阳网站建设专业公司哪家好google play应用商店
  • 推广网站怎么做能增加咨询网站怎样关键词排名优化
  • 做购物网站表结构分析百度最新财报
  • 用c 做动态网站链接推广平台
  • 建设政府网站的公司成免费的crm
  • 网站域名解析页面seo关键词优化推广报价表
  • 成都网站优化外包济南优化seo公司
  • 制作教育类网站seo01网站
  • 郑州做网站建设哪家好网站广告收费标准
  • 传奇网站传奇百度推广效果不好怎么办
  • 辽宁城市建设职业技术学院教育网站除了百度指数还有哪些指数
  • 广州网站开发债券交网站快速刷排名工具
  • 广州网站开发系统培训机构加盟
  • 对建设网站未来发展的建议搜索引擎排名google
  • 响应式网页技术seo研究中心南宁线下
  • wordpress好看的插件百度seo 站长工具
  • 学编程后悔死了seo网站关键词优化报价
  • 团购网站建设seo体系
  • 深圳做app网站的公司名称dz论坛seo设置
  • 广西钦州网站建设微信指数
  • 南充市房产信息网官网浙江seo公司
  • 响应 网站建设bing收录提交