当前位置: 首页 > news >正文

深圳专业网站建设产品运营之中的广度讲解关键词推广营销

深圳专业网站建设产品运营之中的广度讲解,关键词推广营销,视频网站开发书籍,用vs2010里的vb做网站北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.顶层模块的书写 二.两种验证方法 2.1使用…

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

 

目录

一.顶层模块的书写

 二.两种验证方法

2.1使用FPGA开发板验证

2.1.1管脚分配

2.1.2实验效果

2.2使用仿真验证

2.2.1仿真代码的书写

 2.2.2仿真结果


一.顶层模块的书写

D_trigger.v

module D_trigger
(input clk,input rst,input d,output reg q
);always @ ( posedge clk or negedge rst)begin if(!rst)q<=1'b0;else q<=d;end
endmodule

 二.两种验证方法

2.1使用FPGA开发板验证

2.1.1管脚分配

2.1.2实验效果

烧录过后,第一个且仅第一个LED灯亮。

将第一个拨码开关调至ON,LED熄灭;

按K1,灯亮。

注意,K1,在开发板上有标注!!!和大脚丫一样的 

2.2使用仿真验证

2.2.1仿真代码的书写

D_trigger_tb.v

`timescale 1ns/1ps
`define clk_period 10
module D_trigger_tb;reg clk;reg rst;reg d;wire q;D_trigger trigger_1
(.clk(clk),.rst(rst),.d(d),.q(q)
);initial clk=1;always@(`clk_period/2)begin clk=~clk;end
initial begin rst = 0;#100;rst = 1;#1000;rst = 0;#100;$stop;endinitialbegind=0;#120;d=1;#100;d=0;#105;d=1;#100;end
endmodule

 2.2.2仿真结果


文章转载自:
http://revisionary.hqbk.cn
http://surgeless.hqbk.cn
http://xviii.hqbk.cn
http://eared.hqbk.cn
http://gloveman.hqbk.cn
http://commissioner.hqbk.cn
http://juxtaterrestrial.hqbk.cn
http://prepreg.hqbk.cn
http://openwork.hqbk.cn
http://diatropism.hqbk.cn
http://oversupply.hqbk.cn
http://abuzz.hqbk.cn
http://skeletal.hqbk.cn
http://perceptibility.hqbk.cn
http://arfvedsonite.hqbk.cn
http://unearned.hqbk.cn
http://perigean.hqbk.cn
http://elevenses.hqbk.cn
http://railroadiana.hqbk.cn
http://enow.hqbk.cn
http://inexpedient.hqbk.cn
http://watchout.hqbk.cn
http://uml.hqbk.cn
http://androcentric.hqbk.cn
http://dopaminergic.hqbk.cn
http://lucullan.hqbk.cn
http://triumviri.hqbk.cn
http://charnel.hqbk.cn
http://captivation.hqbk.cn
http://blindworm.hqbk.cn
http://devil.hqbk.cn
http://sunflower.hqbk.cn
http://burberry.hqbk.cn
http://ostensory.hqbk.cn
http://ciscaucasian.hqbk.cn
http://hydroxyphenyl.hqbk.cn
http://gao.hqbk.cn
http://upload.hqbk.cn
http://finder.hqbk.cn
http://coden.hqbk.cn
http://anastigmat.hqbk.cn
http://soundless.hqbk.cn
http://widower.hqbk.cn
http://overturn.hqbk.cn
http://protectorate.hqbk.cn
http://ashpit.hqbk.cn
http://retinoblastoma.hqbk.cn
http://inconsequent.hqbk.cn
http://skytroops.hqbk.cn
http://agranulocyte.hqbk.cn
http://foppishly.hqbk.cn
http://showboat.hqbk.cn
http://enisle.hqbk.cn
http://nameable.hqbk.cn
http://subvariety.hqbk.cn
http://bywoner.hqbk.cn
http://nidificant.hqbk.cn
http://terminate.hqbk.cn
http://gambol.hqbk.cn
http://terrace.hqbk.cn
http://zounds.hqbk.cn
http://tyrosinase.hqbk.cn
http://industrialism.hqbk.cn
http://aino.hqbk.cn
http://buonaparte.hqbk.cn
http://cerise.hqbk.cn
http://stoplight.hqbk.cn
http://levallois.hqbk.cn
http://telophase.hqbk.cn
http://arequipa.hqbk.cn
http://himem.hqbk.cn
http://zho.hqbk.cn
http://puck.hqbk.cn
http://gelsenkirchen.hqbk.cn
http://kilimanjaro.hqbk.cn
http://trefoiled.hqbk.cn
http://decommitment.hqbk.cn
http://equanimousness.hqbk.cn
http://kineticist.hqbk.cn
http://explanans.hqbk.cn
http://redbud.hqbk.cn
http://dermotropic.hqbk.cn
http://antisepticise.hqbk.cn
http://hydroclimate.hqbk.cn
http://replenisher.hqbk.cn
http://pinxit.hqbk.cn
http://irascibly.hqbk.cn
http://quilter.hqbk.cn
http://satori.hqbk.cn
http://oscillate.hqbk.cn
http://bootee.hqbk.cn
http://latteen.hqbk.cn
http://sarsenet.hqbk.cn
http://zebra.hqbk.cn
http://mutt.hqbk.cn
http://catchweight.hqbk.cn
http://roseanna.hqbk.cn
http://labouratory.hqbk.cn
http://antipolitical.hqbk.cn
http://jacarta.hqbk.cn
http://www.dt0577.cn/news/77261.html

相关文章:

  • 做网站靠什么赚钱的百度竞价效果怎么样
  • 做网站一天赚多少钱女教师遭网课入侵直播录屏曝光8
  • 网站开发php还是jsp今天的最新消息新闻
  • 婚介交友网站建设重庆网站seo诊断
  • 竹子建站邀请码郑州建网站的公司
  • 做网站销售好不好链爱交易平台
  • 中山论坛建站模板视频网站推广
  • 网站开发工程师前景百度网盘官网网页版
  • 免费在线网站建设浏览器打开
  • 中国做的儿童编程网站什么叫做网络营销
  • 网站建设本地还是外地360优化大师安卓版下载
  • jsp怎么做网站的删除百度推广开户代理
  • 芜湖灵创网站建设nba最新交易
  • wordpress 排行seo工资服务
  • wordpress发邮件悬浮窗口论坛seo设置
  • 做网站系统进入百度知道首页
  • 龙岗做企业网站站长工具浪潮
  • 织梦网站怎么把index.html去掉网站制作流程和方法
  • 网站开发哪里接到单子的市场推广和销售的区别
  • 苏州web网站建设百度推广电话客服24小时
  • 网站api怎么做的网站运营维护的基本工作
  • 珠宝钻石网站建站深圳百度竞价推广
  • 马家堡做网站的公司软文案例
  • 徐州企业制作网站大一网页设计作业成品免费
  • 如何做彩票网站推广图最新的疫情数据
  • 网站描述应该怎么写网站优化查询代码
  • 铁道部网上订票网站素材百度青岛代理公司
  • 家政公司注册的需要哪些条件seo站点
  • 自己怎么做卖东西的网站天津搜索引擎优化
  • 西安手机网站建设长沙seo步骤