当前位置: 首页 > news >正文

国外的一个大学生做的匿名社交网站线上推广有哪些

国外的一个大学生做的匿名社交网站,线上推广有哪些,网站开发工程师是做什么的,网站制作咨询电话#学习记录# 目录 Abstract 1 使用宏定义的条件编译 2 $test$plusargs 3 $value$plusargs 参考文献 Abstract 我们在进行verilog仿真时,经常喜欢采用宏定义,来做条件判断,但是通过宏定义做条件判断的这种方法,存在很大的弊端…

#学习记录#

目录

Abstract

1 使用宏定义的条件编译

2 $test$plusargs

3 $value$plusargs

参考文献


Abstract

  我们在进行verilog仿真时,经常喜欢采用宏定义,来做条件判断,但是通过宏定义做条件判断的这种方法,存在很大的弊端,就是条件改变的时候,需要重新编译,这样会导致,在项目后期进行回归测试和后仿的时候,很多时间都浪费在重复编译上面,效率非常低下。
  $test$plusargs和$value$plusargs作为进行Verilog和SystemVerilog仿真运行时调用的系统函数,可以在仿真命令中直接通过进行赋值的方式将参数传递进入到设计中,并且不局限于不同仿真器对于参数在仿真命令中定义格式不同的限制,也避免了调换参数带来的频繁编译等问题。

1 使用宏定义的条件编译

initial
begin`ifdef dump_fsdb$dumpfile("test.fsdb");$dumpvars;`endif
end

  如果要能够成功调用$dump等函数,需要在编译(compile)时指定`define的宏定义,其使用方法如下:

<compile-option> -define dump_fsdb

  但是这种宏定义的方式,实现条件编译,当条件改变时,需要重新编译,这样会造成仿真效率底下,特别在项目后期,对测试用例进行回归的时候,会有相当一部分时间浪费在编译上。

2 $test$plusargs

  使用条件编译函数$test$plusargs的代码如下:

initialbeginif($test$plusargs("test1"))$readmemh("test1.dat",mem1);if($test$plusargs("test2"))$readmemh("test2.dat",mem2);end

  仿真运行命令如下,如果仿真不需要test1,只需要在运行命令中去掉test1。

<run-options> +test1 +test2

3 $value$plusargs

  $value$plusargs可以将运行命令(run-options)中的参数值,传递给指定的信号或者字符,其语法格式如下:

$value$plusargs(“string”,signalname);

其中string="plusarg_format"+"format_string","plusarg_format"指明了用户定义的要进行传递的值。"format_string"指定了要传递的值的格式(类似$display中定义的%s、%h等)。并且在string中"plusarg_format和"format_string"的格式应该为"plusarg_format"=/+"plusarg_format"。如果转换后的位宽和传递的值不一致,则按照如下规则转换:

plusarg位宽与sigalname的关系signalname值
<plusarg左补零
>plusarg截位
plusarg为负数按照正数处理
不匹配若为指定默认值,则reg类型为x

  代码如下:

if($value$plusargs("finish=%d", finish))
beginrepeat(finish); $display("finish=%d", finish);$finish;
endif($value$plusargs("freq=%f",frequency))
begin$display("freq=%f", frequency);
endif($value$plusargs("testname=%s"testname))
begin$display("testname=%s",testname);
end

 运行命令:

<run-options> +finish=100 +freq=1.23 +testname=test1

 结果:

finish:100

freq:1.23

testname=test1

参考文献

[1] verilog仿真测试中的参数传递——$test$plusargs和$value$plusargs-CSDN博客

[2] Verilog之“$test$plusargs和$value$plusargs用法小结“ - 知乎 (zhihu.com)

http://www.dt0577.cn/news/56614.html

相关文章:

  • 下载源码的网站鹤壁seo
  • 怎么能看出别人的网站是哪一家做资深seo顾问
  • 怎么做这个购物网站线下推广有哪几种渠道
  • WordPress怎么添加模板西安seo站内优化
  • 做海报的免费网站百度网站收录查询
  • 沃航科技网站开发长沙网站推广公司排名
  • wordpress MU多重筛选太原seo排名优化公司
  • 期刊网站建设百度官网登录入口
  • 网站只能用ip访问网站网络营销的特点有
  • 织梦可以做商城网站吗seo优化技术厂家
  • 技能培训机构提供seo顾问服务适合的对象是
  • 公司专业网站建设推广公司
  • 平面作品集展示图片安卓优化大师清理
  • 设计网站源码西安网是科技发展有限公司
  • 知名的网站建设怎么开个人网站
  • 网站建设空间域名是什么佛山关键词排名效果
  • 响应式网站建设一般多少钱无锡百度关键词优化
  • 做视频比较好的理财网站上海网站建设推广服务
  • 无锡网站建设广州aso优化公司 有限公司
  • dw做的网站如何上传云服务器泉州百度推广排名优化
  • 网站建设得多少钱网址域名大全
  • 有没有和小孩做的网站网盘搜索神器
  • 新加坡网站大全网络营销方案有哪些
  • 网站建站六个目标运营是做什么的
  • 我找别人做的网站现在不管了怎么办苏州百度推广服务中心
  • coupang入驻条件2022网奇seo培训官网
  • 天津工程建设协会网站sem竞价课程
  • 南京营销型网站制作全国各大新闻网站投稿
  • 母婴用品网站建设百度导航是哪个国家的
  • 门户网站做的比较好的公司2345网址导航下载桌面