当前位置: 首页 > news >正文

网站优化外包顾问seo推广代运营

网站优化外包顾问,seo推广代运营,企业邮箱888,wordpress 邮件订阅专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 要实现8bit数据至12bit数据的位宽转换,必须要用寄存器将先到达的数据进行缓存。8bit数据至12bit数据,相当于1.5个输入数据拼接成一个输出数据&#…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

要实现8bit数据至12bit数据的位宽转换,必须要用寄存器将先到达的数据进行缓存。8bit数据至12bit数据,相当于1.5个输入数据拼接成一个输出数据,出于对资源的节省以及时序要求,采用1个8bit的寄存器(data_lock)进行数据缓存。

根据时序图,数据是在第二个数据到来之后输出,当仅有一个数据到来时,不产生输出,所以内部设计一个计数器(valid_cnt),用来指示数据接收状态。当检测到valid_in拉高时,valid_cnt加1,valid_cnt在0-2之间循环,valid_cnt复位值是0。当valid_cnt是1或2,且valid_in为高时,输出数据,valid_out拉高。

`timescale 1ns/1nsmodule width_8to12(input 				   clk 		,   input 			      rst_n		,input				      valid_in	,input	[7:0]			   data_in	,output  reg			   valid_out,output  reg [11:0]   data_out
);reg [7:0] data_lock ; reg [1:0] valid_cnt ; always @ (posedge clk or negedge rst_n) beginif (~rst_n) data_lock <= 'd0 ; else if (valid_in) data_lock <= data_in ; endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) valid_cnt <= 'd0 ; else if (valid_in) if (valid_cnt == 2'd2) valid_cnt <= 'd0 ; else valid_cnt <= valid_cnt + 1'd1 ; endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) valid_out <= 'd0 ; else if (valid_in && (valid_cnt == 2'd1 || valid_cnt == 2'd2)) valid_out <= 1'd1 ; else valid_out <= 'd0 ;endalways @ (posedge clk or negedge rst_n) begin if (~rst_n) data_out <= 'd0 ; else if (valid_in && valid_cnt == 2'd1) data_out <= {data_lock, data_in[7:4]} ;else if (valid_in && valid_cnt == 2'd2) data_out <= {data_lock[3:0], data_in} ; endendmodule
http://www.dt0577.cn/news/55328.html

相关文章:

  • 微商来分销系统推广关键词如何优化
  • 物流官方网站百度搜索引擎入口
  • 设置本机外网ip做网站网站seo重庆
  • 监控视频怎么做直播网站商铺营销推广方案
  • 人像摄影网站有哪些网络营销具有哪些优势和吸引力
  • 网站访问统计js代码外贸推广优化公司
  • web网站开发基础网络推广的好处
  • 上海学做网站百度seo排名优化助手
  • 最优秀的佛山网站建设焊工培训班
  • 给私人企业做网站推广广告投放优化师
  • 首页优化的公司如何优化网站排名
  • 苏州注册公司一站式搜索点击软件
  • 那个网站可以做空比特币seo实战培训
  • 烟台网站建设不死鸟分享友情链接
  • 公司推广网站建设话术百度知道首页官网
  • 网站上做推广优化大师是什么意思
  • 网站开发 java c phpapp拉新推广接单平台
  • 内网建设网站外网访问新十条优化措施
  • 做网站推广 优帮云搭建网站的软件
  • 如何做转发文章赚钱的网站东莞谷歌推广公司
  • wix网站怎么做滚动百度 竞价排名
  • drupal网站建设 北京新闻 近期大事件
  • 网站建设和网站推广可以同一家做吗电商运营培训课程有哪些
  • 为个人网站做微信服务号搜了网推广效果怎么样
  • 家庭做网站上海网络推广
  • 做死活题网站百度seo引流怎么做
  • seo网站首页优化排名怎么做亚马逊seo推广
  • 张家口网站建设哪里好seo搜索排名优化公司
  • 哪家做网站公司好衡阳seo
  • 宁夏做网站的可以下载新闻视频的网站