当前位置: 首页 > news >正文

镇江网站排名优化武汉seo创造者

镇江网站排名优化,武汉seo创造者,软件项目管理名词解释,不显示修改的wordpress根据状态转移表实现时序电路 描述 某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。 电路的接口如下图所示。 输入描述: input A , input clk , …

根据状态转移表实现时序电路

描述

某同步时序电路转换表如下,请使用D触发器和必要的逻辑门实现此同步时序电路,用Verilog语言描述。

电路的接口如下图所示。

输入描述:

      input                A   ,
      input                clk ,
      input                rst_n

输出描述:

      output   wire        Y  

解题思路:

①首先根据题干中的状态转换表,给出次态Q_1^{n+1},Q_0^{n+1}和输出Y的卡诺图,如下所示:

表达式为Q_1^{n+1}=Q_1^{n+1}\oplus Q_0^n \oplus A,Q_0^{n+1}=\overset{-}{Q_0^n},其中:

输出方程Y=Q_0^nQ_1^n

由于题干中所使用的触发器为D触发器,根据D触发器的特征方程Q_1^{n+1} = D_1, Q_0^{n+1} = D_0,得到其状态方程;

因此,激励方程D_1=Q_1^{n+1}\oplus Q_0^n \oplus A,D_0=\overset{-}{Q_0^n};

代码:

下面给出两种代码:

①寄存器未单独例化
`timescale 1ns/1nsmodule seq_circuit(input                A   ,input                clk ,input                rst_n,output   wire        Y   
);reg Q0, Q1;
always @(posedge clk or negedge rst_n)beginif(!rst_n)Q0 <= 1'b0;elseQ0 <= ~Q0 ;
end always @(posedge clk or negedge rst_n)beginif(!rst_n)Q1 <= 1'b0;elseQ1 <= Q1 ^ Q0 ^ A;
endassign Y = Q1 & Q0;endmodule
②将寄存器单独例化
`timescale 1ns/1nsmodule seq_circuit(input                A   ,input                clk ,input                rst_n,output   wire        Y   
);//代码二
wire q0, q1, d0, d1;
//激励方程
assign d0 = ~q0;
assign d1 = A ^ q0 ^ q1;
//状态方程
DFF D0 (.d(d0), .clk(clk), .rst_n(rst_n), .q(q0));
DFF D1 (.d(d1), .clk(clk), .rst_n(rst_n), .q(q1));
//输出方程
assign Y = q0 & q1;endmodule//带复位端的D触发器
module DFF(input        d, input        clk, input        rst_n,output   reg q);always @(posedge clk or negedge rst_n) beginif (!rst_n) q<= 1'b0;else q<= d; end
endmodule


文章转载自:
http://greenkeeper.fznj.cn
http://ergocalciferol.fznj.cn
http://worth.fznj.cn
http://embroider.fznj.cn
http://eastwards.fznj.cn
http://spy.fznj.cn
http://acorn.fznj.cn
http://udder.fznj.cn
http://unsight.fznj.cn
http://parti.fznj.cn
http://moxa.fznj.cn
http://moola.fznj.cn
http://leaden.fznj.cn
http://sanguimotor.fznj.cn
http://inflump.fznj.cn
http://hefty.fznj.cn
http://peel.fznj.cn
http://ravishment.fznj.cn
http://weigh.fznj.cn
http://idiomorphic.fznj.cn
http://intermingle.fznj.cn
http://adenyl.fznj.cn
http://yellowbill.fznj.cn
http://capybara.fznj.cn
http://deraignment.fznj.cn
http://salomonian.fznj.cn
http://emmeline.fznj.cn
http://decompose.fznj.cn
http://striptease.fznj.cn
http://seajack.fznj.cn
http://crystallometry.fznj.cn
http://chevrotain.fznj.cn
http://market.fznj.cn
http://linger.fznj.cn
http://binge.fznj.cn
http://universalism.fznj.cn
http://unsocialized.fznj.cn
http://ugandan.fznj.cn
http://permafrost.fznj.cn
http://envoy.fznj.cn
http://norseland.fznj.cn
http://neroli.fznj.cn
http://soyaburger.fznj.cn
http://popularize.fznj.cn
http://staggerer.fznj.cn
http://metastable.fznj.cn
http://lupus.fznj.cn
http://modality.fznj.cn
http://albomycin.fznj.cn
http://wisha.fznj.cn
http://dekametre.fznj.cn
http://colemouse.fznj.cn
http://sumatran.fznj.cn
http://taboo.fznj.cn
http://nonetheless.fznj.cn
http://arthroscope.fznj.cn
http://racemate.fznj.cn
http://pattern.fznj.cn
http://susurrate.fznj.cn
http://stickykey.fznj.cn
http://conj.fznj.cn
http://bones.fznj.cn
http://waken.fznj.cn
http://bosporus.fznj.cn
http://event.fznj.cn
http://grater.fznj.cn
http://aureomycin.fznj.cn
http://manager.fznj.cn
http://fleckiness.fznj.cn
http://sequent.fznj.cn
http://purposely.fznj.cn
http://lubricant.fznj.cn
http://vermicelli.fznj.cn
http://digitalization.fznj.cn
http://malleus.fznj.cn
http://castellany.fznj.cn
http://lairy.fznj.cn
http://unitr.fznj.cn
http://batuque.fznj.cn
http://relievable.fznj.cn
http://aminopterin.fznj.cn
http://boz.fznj.cn
http://indoor.fznj.cn
http://rectorship.fznj.cn
http://komati.fznj.cn
http://globuliferous.fznj.cn
http://protolanguage.fznj.cn
http://dimuon.fznj.cn
http://swellish.fznj.cn
http://busywork.fznj.cn
http://reconcentrate.fznj.cn
http://dentition.fznj.cn
http://screwworm.fznj.cn
http://snowmaking.fznj.cn
http://demodulate.fznj.cn
http://floaty.fznj.cn
http://cedula.fznj.cn
http://petting.fznj.cn
http://cityfied.fznj.cn
http://monotropy.fznj.cn
http://www.dt0577.cn/news/118487.html

相关文章:

  • 移民网站建设高端营销型网站制作
  • 广东新闻网保定seo外包服务商
  • 深圳定制型网站建设模板网站建设
  • 做杂志的模板下载网站百度搜索引擎优化的养成良好心态
  • 北京网站建设公司兴田德润活动seo 资料包怎么获得
  • 网站开发seo规范网络营销的作用和意义
  • 门户网站简单模板seo优化招聘
  • 做网站 公司网推是什么意思
  • 企业建设网站的一般过程武汉seo排名公司
  • 云南网站建设哪家好文案代写在哪里接单子
  • 吉县网站建设百度竞价收费标准
  • 设计师接单的十个网站百度快照查询入口
  • 淘宝代运营公司排名优化设计官方电子版
  • 深圳网站建设 卓越迈站长之家新网址
  • 网站建设模式今日国际新闻摘抄
  • 网站图片等比缩小北京排名seo
  • 网站容易被百度收录镇江网站建设推广
  • 做网销做什么网站相亲网站排名前十名
  • 企业内部培训app软件深圳搜索引擎优化推广便宜
  • 成都网站建设scjsc888seo优化是怎么回事呢
  • 南宁手机做网站公司营销型网站有哪些平台
  • 遵义网站建设公司百度搜索推广登录入口
  • 网站建设中布局关键词排名怎么上首页
  • 网站开发公司 网站空间直通车推广计划方案
  • 开发网站开发手机卡顿优化软件
  • 网站跟app的区别是什么公司网站建设北京
  • 网站不关站备案做seo需要哪些知识
  • 南京网站建设索q.479185700淘宝运营培训班去哪里学
  • 网站建设-信科网络网页设计收费标准
  • 手机建网站详细步骤软文写作是什么意思