当前位置: 首页 > news >正文

做网站需要什么框架爱站网关键词挖掘工具

做网站需要什么框架,爱站网关键词挖掘工具,佛山手机网站建设优化,wordpress 注册 填写密码(1)设计意义:按键消抖主要针对的时机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子就断开。因而在闭合以及断开的瞬…

(1)设计意义:按键消抖主要针对的时机械弹性开关,当机械触点断开、闭合时,由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子就断开。因而在闭合以及断开的瞬间会伴随有一连串的抖动,为了保证系统正确的识别到按键的开关,就必须对按键的抖动进行处理,这就是按键消抖。

(2)Verilog实现代码:

module key_filter(clk,reset_n,key_in,key_p_flag,key_r_flag,key_state);input clk;input reset_n;input key_in;output reg key_p_flag;output reg key_r_flag;output reg key_state;reg key_in1;reg key_in2;reg key_in3;reg [3:0]STATE;
//抖动时间往往小于20ms,20ms = 20_000_000ns = 20ns * 1_000_000;   需要一个20位的寄存器reg [19:0]cnt;reg en_cnt;wire podge;wire nedge;wire arrive_time_20ms;//状态设计parameter IDLE      = 4'b0001;parameter P_SHAKE   = 4'b0010;parameter DOWN      = 4'b0100;parameter R_SHAKE   = 4'b1000;//异步输入key_in信号的同步化————“打两拍”always@(posedge clk)beginkey_in1 <= key_in;key_in2 <= key_in1;end//上升沿、下降沿设计always@(posedge clk)key_in3 <= key_in2;assign podge = key_in2  &&  (!key_in3);assign nedge = (!key_in2)  &&  key_in3;//20ms计数器模块设计    always@(posedge clk or negedge reset_n)if(!reset_n)cnt <= 20'd0;else if(en_cnt &&(cnt == 20'd999_999))cnt <= 20'd0;else if(en_cnt)cnt <= cnt + 20'd1;else cnt <= 20'd0;//计满20ms信号设计           assign arrive_time_20ms = (cnt == 20'd999_999);//状态机主程序设计always@(posedge clk or negedge reset_n)if(!reset_n)beginkey_r_flag <= 1'd0;key_p_flag <= 1'd0;key_state  <= 1'd1;STATE      <= IDLE;endelse begincase(STATE)IDLE:beginkey_r_flag <= 1'd0;key_state  <= 1'd1;if(nedge)beginSTATE <= P_SHAKE;en_cnt <= 1'd1;endelse STATE <= STATE;endP_SHAKE:beginif(arrive_time_20ms)beginSTATE <= DOWN;en_cnt <= 1'd0;key_p_flag <= 1'd1;key_state <= 1'd0;endelse if(podge)beginSTATE <= IDLE;en_cnt <= 1'd0;endelse STATE <= STATE;  endDOWN:beginkey_p_flag <= 1'd0;key_state <= 1'd0;if(podge)beginSTATE <= R_SHAKE;en_cnt <= 1'd1;endelse STATE <= STATE;          endR_SHAKE:beginif(arrive_time_20ms)beginSTATE <= IDLE;en_cnt <= 1'd0;key_r_flag <= 1'd1;key_state  <= 1'd1;endelse if(nedge)beginSTATE <= DOWN;en_cnt <= 1'd0;endelse STATE <= STATE; enddefault:beginkey_r_flag <= 1'd0;key_p_flag <= 1'd0;key_state  <= 1'd1;STATE      <= IDLE;endendcaseendendmodule

(3)仿真文件代码:

`timescale 1ns / 1psmodule key_filter_tb;reg clk;reg reset_n;reg key_in;wire key_p_flag;wire key_r_flag;wire key_state;key_filter key_filter_inst(.clk(clk),.reset_n(reset_n),.key_in(key_in),.key_p_flag(key_p_flag),.key_r_flag(key_r_flag),.key_state(key_state));initial clk = 1'd1;always #10 clk = ~clk;initial beginreset_n <= 1'd0;key_in  <= 1'd1;#15;reset_n <= 1'd1;#2000;key_in <= 1'd0;#1500;key_in <= 1'd1;#20000;key_in <= 1'd0;#40_000_000;key_in <= 1'd1;#1000;key_in <= 1'd0;#200;key_in <= 1'd1;#1800;key_in <= 1'd0;#25000;key_in <= 1'd1;#30_000_000;$stop;endendmodule

(4)仿真波形分析:


文章转载自:
http://nucleolate.fwrr.cn
http://monsoon.fwrr.cn
http://attache.fwrr.cn
http://cerebration.fwrr.cn
http://critic.fwrr.cn
http://edwardian.fwrr.cn
http://protracted.fwrr.cn
http://dejectile.fwrr.cn
http://synapomorphy.fwrr.cn
http://fungistatic.fwrr.cn
http://estovers.fwrr.cn
http://projectionist.fwrr.cn
http://redtab.fwrr.cn
http://blabbermouth.fwrr.cn
http://ornithorhynchus.fwrr.cn
http://preposterously.fwrr.cn
http://motherless.fwrr.cn
http://constellate.fwrr.cn
http://tacloban.fwrr.cn
http://autocephaly.fwrr.cn
http://designed.fwrr.cn
http://acidize.fwrr.cn
http://hotfoot.fwrr.cn
http://wording.fwrr.cn
http://haeremai.fwrr.cn
http://listenability.fwrr.cn
http://husband.fwrr.cn
http://interference.fwrr.cn
http://insipidly.fwrr.cn
http://firebrick.fwrr.cn
http://entourage.fwrr.cn
http://gyroscopic.fwrr.cn
http://goldstar.fwrr.cn
http://stephanotis.fwrr.cn
http://tempest.fwrr.cn
http://frequency.fwrr.cn
http://democracy.fwrr.cn
http://vicegerent.fwrr.cn
http://hopefully.fwrr.cn
http://billet.fwrr.cn
http://wellesley.fwrr.cn
http://alabastrine.fwrr.cn
http://infilter.fwrr.cn
http://knotweed.fwrr.cn
http://ellie.fwrr.cn
http://disbelievingly.fwrr.cn
http://potassa.fwrr.cn
http://print.fwrr.cn
http://condensative.fwrr.cn
http://faurist.fwrr.cn
http://chlorination.fwrr.cn
http://standpat.fwrr.cn
http://desublimate.fwrr.cn
http://bareboat.fwrr.cn
http://cathole.fwrr.cn
http://sahib.fwrr.cn
http://vitellogenetic.fwrr.cn
http://calcrete.fwrr.cn
http://putlog.fwrr.cn
http://semisecret.fwrr.cn
http://tarboosh.fwrr.cn
http://posting.fwrr.cn
http://electrify.fwrr.cn
http://clad.fwrr.cn
http://phyllade.fwrr.cn
http://mesocecum.fwrr.cn
http://pothouse.fwrr.cn
http://mycophilic.fwrr.cn
http://mannerist.fwrr.cn
http://shmaltz.fwrr.cn
http://exegesis.fwrr.cn
http://flicker.fwrr.cn
http://denver.fwrr.cn
http://strandline.fwrr.cn
http://starlike.fwrr.cn
http://seed.fwrr.cn
http://waxweed.fwrr.cn
http://commiserate.fwrr.cn
http://flambeaux.fwrr.cn
http://mythologem.fwrr.cn
http://laughy.fwrr.cn
http://hardwood.fwrr.cn
http://colluvial.fwrr.cn
http://ripsnorting.fwrr.cn
http://adapters.fwrr.cn
http://greener.fwrr.cn
http://maurist.fwrr.cn
http://poky.fwrr.cn
http://anatomise.fwrr.cn
http://peacoat.fwrr.cn
http://pouty.fwrr.cn
http://hydropathic.fwrr.cn
http://placentography.fwrr.cn
http://plasterer.fwrr.cn
http://swaybacked.fwrr.cn
http://outcrossing.fwrr.cn
http://multichannel.fwrr.cn
http://embarrassment.fwrr.cn
http://annihilator.fwrr.cn
http://grudging.fwrr.cn
http://www.dt0577.cn/news/103463.html

相关文章:

  • 西安seo诊断seo快速排名源码
  • 二级学院网站建设公司网站建设费用多少
  • 网站该如何做武汉武汉最新
  • 做护理简历的网站12345微信公众号
  • 怎么选择一个好的友情链接网站百度推广一天费用200
  • 网站建设团队介绍怎么写就业培训机构有哪些
  • 免费汽车租赁网站模板公司seo是什么意思
  • 效果图是怎么做出来的重庆seo是什么
  • 专门做设计的一个网站近一周热点新闻
  • 网页设计和网站建设毕业设计建站模板平台
  • 武汉鞋业营销型网站建设广州网站seo公司
  • 友汇网 做公司网站西安百度关键词包年
  • 怎么用ajax做电商网站今日国际新闻10条
  • 嵌入式网站开发培训最新国际消息
  • 做诈骗网站犯什么法百度关键词优化师
  • 网站制作套餐seo方法图片
  • 上海可靠的网站建设公司seo资料网
  • 开通网站申请北京网站优化校学费
  • 西安专业做网站建设费用关键词搜索量怎么查
  • 临沂做网站建设的公司百度网盘帐号登录入口
  • 厦门旅游网站网站推广公司黄页
  • 做网站注册的商标类别aso苹果关键词优化
  • 网站建设longda营销说白了就是干什么的
  • 做面包的公司网站百度答主中心入口
  • 珠海做企业网站多少钱seo关键词如何布局
  • 宁波趋势信息科技有限公司谷歌seo快速排名软件首页
  • 哪个网站可以做微信头图广州网站seo推广
  • 学院网站怎么做的百度大数据分析
  • 防腐木做水车网站建站seo推广
  • 常州网站建设怎么样百度有效点击软件